reklama - zainteresowany?

Architecting and Building High-Speed SoCs - Helion

Architecting and Building High-Speed SoCs
ebook
Autor: Mounir Maaref
Tytuł oryginału: Architecting and Building High-Speed SoCs
ISBN: 9781801819855
stron: 426, Format: ebook
Data wydania: 2022-12-09
Księgarnia: Helion

Cena książki: 129,00 zł

Dodaj do koszyka Architecting and Building High-Speed SoCs

Modern and complex SoCs can adapt to many demanding system requirements by combining the processing power of ARM processors and the feature-rich Xilinx FPGAs. You’ll need to understand many protocols, use a variety of internal and external interfaces, pinpoint the bottlenecks, and define the architecture of an SoC in an FPGA to produce a superior solution in a timely and cost-efficient manner.

This book adopts a practical approach to helping you master both the hardware and software design flows, understand key interconnects and interfaces, analyze the system performance and enhance it using the acceleration techniques, and finally build an RTOS-based software application for an advanced SoC design.

You’ll start with an introduction to the FPGA SoCs technology fundamentals and their associated development design tools. Gradually, the book will guide you through building the SoC hardware and software, starting from the architecture definition to testing on a demo board or a virtual platform. The level of complexity evolves as the book progresses and covers advanced applications such as communications, security, and coherent hardware acceleration.

By the end of this book, you'll have learned the concepts underlying FPGA SoCs’ advanced features and you’ll have constructed a high-speed SoC targeting a high-end FPGA from the ground up.

Dodaj do koszyka Architecting and Building High-Speed SoCs

 

Osoby które kupowały "Architecting and Building High-Speed SoCs", wybierały także:

  • Windows Media Center. Domowe centrum rozrywki
  • Ruby on Rails. Ćwiczenia
  • DevOps w praktyce. Kurs video. Jenkins, Ansible, Terraform i Docker
  • Przywództwo w Å›wiecie VUCA. Jak być skutecznym liderem w niepewnym Å›rodowisku
  • Scrum. O zwinnym zarzÄ…dzaniu projektami. Wydanie II rozszerzone

Dodaj do koszyka Architecting and Building High-Speed SoCs

Spis treści

Architecting and Building High-Speed SoCs. Design, develop, and debug complex FPGA based systems-on-chip eBook -- spis treści

  • 1. Introducing FPGA Devices and SoCs
  • 2. FPGA Devices and SoCs Design Tools
  • 3. Basic and Advanced On-chip Busses and Interconnects
  • 4. Connecting High Speed Devices Using Busses and Interconnects
  • 5. Basic and Advanced SoC Interfaces
  • 6. What Goes Where in a High Speed SoC Design
  • 7. FPGA SoC Hardware Design and Verification Flow
  • 8. FPGA SoC Software Design Flow
  • 9. SoC Design Hardware and Software Integration
  • 10. Building a Complex SoC Hardware Targeting an FPGA
  • 11. Addressing the Security Aspects of an FPGA based SoC
  • 12. Building a Complex Software with an Embedded Operating System Flow
  • 13. Video, Image and Digital Signal Processing Principles in FPGAs and SoCs
  • 14. Communication and Control Systems Implementation in FPGAs and SoCs

Dodaj do koszyka Architecting and Building High-Speed SoCs

Code, Publish & WebDesing by CATALIST.com.pl



(c) 2005-2024 CATALIST agencja interaktywna, znaki firmowe należą do wydawnictwa Helion S.A.